The Complete Digital Computer Engineering Circuit Simulation
What you'll learn
- Understand and utilize virtual instrumentation to analyze digital circuits
- Study and apply the concepts of basic computer logic design[Or-And-Not]
- Study and apply the concepts of basic computer logic design[Nand-Nor]
- Understand and apply Boolean theorems to computer logic design
- Describe and utilize the Universal gates [Nand and Nor] to computer logic design
- Analyze Combinational Computer Logic Circuits
- Utilize simplification techniques to computer logic design
- Utilize Karnaugh Mapping Techniques to simplify digital logic designs
- Design computer combinational logic circuits
- Understand and utilize arithmetic computer logic circuits
- Design Computer Digital Logic Circuits with Medium Scale Integrated Circuits
- Design Computer Sequential Logic Circuits
Requirements
- Able to read and write English
- Basic Algebra
- Basic Electronics Background
- Basic compuer skills and internet navigation
Description
This course provides a solid foundation in digital electronic systems. How the electronic devices and subsystems work in a digital computer and similar machines. The course covers combination and sequential logic circuits. Topic study will include number systems, Boolean algebra, logic families, medium-scale integration (MSI) and large-scale integration (LSI) circuits, analog-to-digital (AD) and digital-to-analog (DA) conversion, and more related topics. Upon completion, students will be able to construct, analyze, verify, and troubleshoot digital circuits using appropriate techniques and procedures and test related equipment.
This course also demonstrates the use of FPGA programming using HDL. Project creation of FPGA basic applications using industry-grade software used by digital system designers. This process involves a lot of components, from determining the logic behind the project to simulating before deployment to testing and seeing results before full implementation.
Here is an example of VHDL programming: Here's your VHDL code implementing an AND gate using the requested format and labels: library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity gate is
port (
A: in std_logic_vector (0 to 9);
B: in std_logic_vector (0 to 9);
Y: out std_logic_vector (0 to 9) := (others => '0');
SEL: in std_logic_vector (3 downto 0);
HEX_OUT: out std_logic_vector (0 to 6)
);
end gate;
Who this course is for:
- Engineering and Computer Students
- Engineering and Computer Professionals
- Anyone desiring to Learn the Fundamentals of Computer Digital Logic
Instructor
Oscar “Dr.O” Rodriguez's academic credentials include a combination of international teaching and consulting in engineering and technology. He holds undergraduate degrees in engineering technology (Automation, robotics and instrumentation), a Master's of Science in Electronics & computers, a Specialist and doctoral degree in engineering technology education. Additionally, Dr. Rodriguez holds various technical professional certifications in electronics manufacturing, advanced manufacturing technologies, fiber optics, renewable energy, robotics, and control systems cybersecurity.
He has taught engineering and technology for almost 15 years both at corporate sites and college campuses on both cases using a variety of instructional methods including online and distance as well as computer assisted techniques. Additionally, he has developed and implemented numerous STEM projects in the U.S. and Latin America.
Dr. Rodriguez loves teaching and learning, it is a great way to share with others and keep himself committed to lifelong learning.
He looks forward to serving and sharing with many lifelong learners!
__________________________________________________________
Las credenciales académicas de Oscar “Dr.O” Rodriguez incluyen una combinación de experiencia docente y de consultoría internacional en ingeniería y tecnología. Él tiene títulos de pregrado y postgrado en electrónica, robótica, computación e instrumentación, especialista y doctorado en tecnologías e ingenieria educativa.
El Dr. Rodriguez realiza investigación en ingeniería mecatrónica, automatización y robótica, educación a distancia y en línea, transferencia tecnológica, desarrollo de la fuerza de trabajo y educación en las ingenierías y tecnologías.
Con más de 15 años de experiencia combinada en la docencia y la industria, en distintas organizaciones corporativas, también habiendo utilizado diversidad de métodos modernos de instrucción, y habiendo implementado numerosos proyectos en Norte America y América Latina, el Dr. Rodriguez está aquí para ensenar y aprender con aquellos comprometidos con el aprendizaje continuo.
El espera servir y compartir con todos aquellos que están dispuestos aprender continuamente!